aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/leftmost03.vhdl
blob: c58d700b4db2a40832d77e5ff006cbb09cf06b6f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity leftmost03 is
  port (d : unsigned (0 to 8);
        res : out integer);
end leftmost03;

architecture behav of leftmost03 is
begin
  res <= find_leftmost (d, '1');
end behav;