aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/leftmost01.vhdl
blob: 470ddfcca510ac118a0be71dc6324b217d4fc959 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity leftmost01 is
  port (d : unsigned (7 downto 0);
        res : out integer);
end leftmost01;

architecture behav of leftmost01 is
begin
  res <= find_leftmost (d, '1');
end behav;