aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1430/repro1.vhdl
blob: 8d84d25a3d5f42c7f497fedd0c9c236d593c8683 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity repro is
  generic (a : natural := 5);
end;

architecture behav of repro is
  constant c : natural := 10;
begin
  assert false
    report natural'image(a) & "c = " & integer'image(c)
    & ", a = " & natural'image(a) & " (!)" severity note;
end;