aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/repro1.vhdl
blob: a4b8d84665375ffa8a36a1145ae34e64bd7a4ff1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity repro1 is
  port (a : out std_logic;
        b : std_logic_vector(7 downto 0));
end;

architecture behav of repro1 is
  type my_rec is record
    a : std_logic;
    b : std_logic_vector(7 downto 0);
  end record;

  signal s : my_rec;
begin
  s.b <= b;
  a <= s.a;

  s.a <= '1' when s.b /= x"00" else '0';

  s.b (1) <= '0';
end behav;