aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/rec1.vhdl
blob: db81be87de152ecb632d62f15150da6116aafd94 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity rec1 is
  port (a : out std_logic;
        b : std_logic_vector(7 downto 0));
end;

architecture behav of rec1 is
  type my_rec is record
    a : std_logic;
    b : std_logic;
  end record;

  signal s : my_rec;
begin
  s.b <= s.a;
  a <= s.b;

  s.a <= '1' when b /= x"00" else '0';

  s.b <= '0';
end behav;