aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1424/bar.vhdl
blob: 39cb9ca7b445064244b883993b986579ed7a8e9b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity bar is
  port (
    input    : in unsigned(3 downto 0);
    output   : out std_logic
  );
end bar;

architecture bar of bar is
begin
  output <= '1' when input(3 downto 0) = conv_unsigned(7, 4)
                else '0';
end bar;