aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1421/repro3.vhdl
blob: 4fa0f4738baffa37276665ab6f7137f657d9d989 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro3 is
  port (clk : bit;
        v : bit_vector (1 downto 0);
        res : out bit_vector(1 downto 0));
end;

architecture behav of repro3 is
begin
  process (clk)
  begin
    if clk'event and clk = '1' then
      res <= v;
    end if;
    res (0) <= '0';
  end process;
end behav;