aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1421/repro.vhdl
blob: 4b8b435b5df833ca512faa9f9ca4536b728b8cc9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity repro is
  port (clk : bit;
        rst : bit;
        v : bit_vector (3 downto 0);
        res : out bit_vector(3 downto 0));
end;

architecture behav of repro is
begin
  process (clk)
  begin
    if clk'event and clk = '1' then
      res <= v;
      if rst = '1' then
        res <= "0000";
      end if;
    end if;
    res (2) <= '0';
  end process;
end behav;