aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1414/cover_overlap_misparse.vhdl
blob: 895bcf715c865e4b74517dab029f76f47db604aa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity cover_overlap_misparse is
    Port (
        clk: in STD_LOGIC;
        counter_val: out STD_LOGIC_VECTOR(3 downto 0)
    );
end entity;

architecture Behavioral of cover_overlap_misparse is
    signal ctr_internal: UNSIGNED(3 downto 0) := x"0";
begin
    process(clk) is
    begin
        ctr_internal <= ctr_internal + 1;
    end process;
    counter_val <= STD_LOGIC_VECTOR(ctr_internal);

    -- psl default clock is rising_edge(clk);
    --psl assert always ctr_internal = 0 |-> ctr_internal = 0;
end Behavioral;