blob: 9f3d3336fce50a517082b1ad1c56476548b030ee (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_Std.all;
entity repro1 is
port (res : out unsigned(7 downto 0));
end;
architecture behav of repro1 is
constant left : unsigned := x"71";
constant right : unsigned := x"03";
constant r : unsigned := left / right;
begin
res <= r;
end behav;
|