aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1330/vassert.v
blob: 90e14f49fee3b64f70458ea69e9baf2a6b95a1c6 (plain)
1
2
3
4
5
module vassert(input wire clk, input wire write);
  always @(posedge clk)  begin
     assert(write == 1'b0);
  end
endmodule