aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1330/test2.vhdl
blob: 928b638c73f8306e53f7174fb5e0cee7d6a3abc8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test2 is
    port(
        clk : in std_logic;
        write_data : in std_ulogic;
        rst : std_ulogic
        );
end;

architecture rtl of test2 is
begin
    test_1: process(clk)
    begin
      if rising_edge(clk) then
        if rst = '1' then
          null;
        else
          assert write_data = '0' report "bad" severity failure;
        end if;
      end if;
    end process test_1;
end architecture rtl;