aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1330/test.vhdl
blob: 7d2a17812927dca9b55ebb8e910b1f81f2ea95c3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
    port(
        clk : in std_logic;
        write_data : in std_ulogic 
        );
end entity test;

architecture rtl of test is
begin
    test_1: process(clk)
    begin
        if rising_edge(clk) then
                assert write_data = '0' report "bad" severity failure;
        end if;
    end process test_1;
end architecture rtl;