aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1330/tb_test2.vhdl
blob: 84888fa96256c5e55b257b78eacb20446230d4c2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
entity tb_test2 is
end tb_test2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_test2 is
  signal clk : std_logic;
  signal wr : std_logic;
  signal rst : std_logic;
begin
  dut: entity work.test2
    port map (clk, wr, rst);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    wr <= '0';
    rst <= '1';
    pulse;

    rst <= '0';
    pulse;

    rst <= '1';
    wr <= '1';
    pulse;
    
    wait;
  end process;
end behav;