aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1325/rotate_testcase.vhdl
blob: 594a805e47cfc5f85798359bf5d7f5ae1b4fe00a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity rotate_testcase is
    Port (in_vec:  in  UNSIGNED(31 downto 0);
          out_vecl: out UNSIGNED(31 downto 0);
          out_vecr: out UNSIGNED(31 downto 0));
end entity;

architecture RTL of rotate_testcase is
begin
    out_vecl <= rotate_left(in_vec,1);
    out_vecr <= rotate_right(in_vec,1);
end RTL;