aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1318/ram1.v
blob: a5939c0e299b3f79c4b006e8be66ec9cc34b34d8 (plain)
1
2
3
4
5
6
7
8
9
10
(* RAM_STYLE="BLOCK" *)
reg [7:0] lineMem [0:31];
    
reg [15:0]     column_data = 0;
reg   [ADDR_BITS - 1:0]   line_mem_read_address  = 0;

always @(posedge clk) begin 
       column_data[7:0]     <= lineMem[line_mem_read_address];
       column_data[15:8]   <= data_in;
end