aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1317/repro.vhdl
blob: 21d28c470ae2bf3675b7b6bef396a3297312057a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity simple01 is
  port (a, b, c : in std_logic;
        z : out std_logic);
end simple01;

architecture behav of simple01 is
begin
  process(A, B, C)
    variable temp : std_logic;
  begin
    case a is
      when '1' =>
        assert b = '0';
        z <= '0';
      when '0' =>
        z <= '1';
      when others =>
        z <= 'X';
    end case;
  end process;
end behav;