aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1311/tb_issue.vhdl
blob: 80e07dba5671dbafcf3d68b1b95d55781f7d6bf3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_issue is
end tb_issue;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_issue is
  signal a : boolean;
begin
  dut: entity work.issue
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a severity failure;
    wait;
  end process;
end behav;