aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1310/issue.vhdl
blob: 9ed2e902cc72f4153da3b1f5af42fa774bb732d4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
    port (sig_gt, sig_ge, sig_lt, sig_le : out boolean;
          uns_gt, uns_ge, uns_lt, uns_le : out boolean);
end issue;

architecture beh of issue is
begin
    -- all of those works
    uns_gt <= (unsigned'("1111") >  unsigned'("0111"));
    uns_ge <= (unsigned'("1111") >= unsigned'("0111"));
    uns_lt <= (unsigned'("1111") <  unsigned'("0111"));
    uns_le <= (unsigned'("1111") <= unsigned'("0111"));

    sig_gt <= (signed'("1111") >  signed'("0111"));
    sig_ge <= (signed'("1111") >= signed'("0111"));
    sig_lt <= (signed'("1111") <  signed'("0111"));
    sig_le <= (signed'("1111") <= signed'("0111"));
end architecture beh;