aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1307/test.v
blob: 35cae210bb2a05e601b1155fd3d4297c3d51d41f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
/* Generated by Yosys 0.9+2406 (git sha1 aafaeb66, gcc 8.4.0-1ubuntu1~18.04 -fPIC -Os) */

module edge_enhance(clk, enable_feature, in_blank, in_hsync, in_vsync, in_red, in_green, in_blue, out_blank, out_hsync, out_vsync, out_red, out_green, out_blue);
  reg [7:0] _0_;
  reg [7:0] _1_;
  input clk;
  input enable_feature;
  wire \i_line_delay_2%126 ;
  wire \i_line_delay_2%127 ;
  wire \i_line_delay_2%128 ;
  wire [7:0] \i_line_delay_2%129 ;
  wire [7:0] \i_line_delay_2%130 ;
  wire [7:0] \i_line_delay_2%131 ;
  input in_blank;
  input [7:0] in_blue;
  input [7:0] in_green;
  input in_hsync;
  input [7:0] in_red;
  input in_vsync;
  output out_blank;
  output [7:0] out_blue;
  output [7:0] out_green;
  output out_hsync;
  output [7:0] out_red;
  output out_vsync;
  always @(posedge clk)
      _0_ <= \i_line_delay_2%130 ;
  always @(posedge clk)
      _1_ <= \i_line_delay_2%131 ;
  line_delay i_line_delay_2 (
    .clk(clk),
    .in_blank(1'hz),
    .in_blue(8'hxx),
    .in_green(8'hxx),
    .in_hsync(1'hz),
    .in_red(8'hzz),
    .in_vsync(1'hz),
    .out_blank(\i_line_delay_2%126 ),
    .out_blue(\i_line_delay_2%131 ),
    .out_green(\i_line_delay_2%130 ),
    .out_hsync(\i_line_delay_2%127 ),
    .out_red(\i_line_delay_2%129 ),
    .out_vsync(\i_line_delay_2%128 )
  );
  assign out_blank = 1'hz;
  assign out_hsync = 1'hz;
  assign out_vsync = 1'hz;
  assign out_red = 8'hzz;
  assign out_green = _0_;
  assign out_blue = _1_;
endmodule

module hdmi_design(clk100, sw, hdmi_rx_scl, hdmi_rx_clk_n, hdmi_rx_clk_p, hdmi_rx_n, hdmi_rx_p, hdmi_tx_hpd, led, debug_pmod, hdmi_rx_cec, hdmi_rx_hpa, hdmi_rx_sda, hdmi_rx_txen, hdmi_tx_cec, hdmi_tx_clk_n, hdmi_tx_clk_p, hdmi_tx_rscl, hdmi_tx_rsda, hdmi_tx_p, hdmi_tx_n, rs232_tx);
  input clk100;
  output [7:0] debug_pmod;
  inout hdmi_rx_cec;
  input hdmi_rx_clk_n;
  input hdmi_rx_clk_p;
  output hdmi_rx_hpa;
  input [2:0] hdmi_rx_n;
  input [2:0] hdmi_rx_p;
  input hdmi_rx_scl;
  inout hdmi_rx_sda;
  output hdmi_rx_txen;
  inout hdmi_tx_cec;
  output hdmi_tx_clk_n;
  output hdmi_tx_clk_p;
  input hdmi_tx_hpd;
  output [2:0] hdmi_tx_n;
  output [2:0] hdmi_tx_p;
  inout hdmi_tx_rscl;
  inout hdmi_tx_rsda;
  output [7:0] led;
  output rs232_tx;
  input [7:0] sw;
  assign hdmi_rx_cec = 1'hz;
  assign hdmi_rx_sda = 1'hz;
  assign hdmi_tx_cec = 1'hz;
  assign hdmi_tx_rscl = 1'hz;
  assign hdmi_tx_rsda = 1'hz;
  assign led = 8'hzz;
  assign debug_pmod = 8'hzz;
  assign hdmi_rx_hpa = 1'hz;
  assign hdmi_rx_txen = 1'hz;
  assign hdmi_tx_clk_n = 1'hz;
  assign hdmi_tx_clk_p = 1'hz;
  assign hdmi_tx_p = 3'hz;
  assign hdmi_tx_n = 3'hz;
  assign rs232_tx = 1'hz;
endmodule

module line_delay(clk, in_blank, in_hsync, in_vsync, in_red, in_green, in_blue, out_blank, out_hsync, out_vsync, out_red, out_green, out_blue);
  wire [8:0] _0_;
  reg [7:0] _1_;
  reg [7:0] _2_;
  wire [13823:0] _3_;
  wire [26:0] _4_;
  wire [8:0] _5_;
  input clk;
  input in_blank;
  input [7:0] in_blue;
  input [7:0] in_green;
  input in_hsync;
  input [7:0] in_red;
  input in_vsync;
  output out_blank;
  output [7:0] out_blue;
  output [7:0] out_green;
  output out_hsync;
  output [7:0] out_red;
  output out_vsync;
  reg [26:0] \$mem$\208  [511:0];
  assign _5_ = 9'h1ff - 9'h1ff;
  assign _0_ = 9'h1ff - 9'h1ff;
  always @(posedge clk)
      _1_ <= _4_[18:11];
  always @(posedge clk)
      _2_ <= _4_[10:3];
  reg [26:0] \208  [511:0];
  initial begin
    \208 [0] = 27'h0000000;
    \208 [1] = 27'h0000000;
    \208 [2] = 27'h0000000;
    \208 [3] = 27'h0000000;
    \208 [4] = 27'h0000000;
    \208 [5] = 27'h0000000;
    \208 [6] = 27'h0000000;
    \208 [7] = 27'h0000000;
    \208 [8] = 27'h0000000;
    \208 [9] = 27'h0000000;
    \208 [10] = 27'h0000000;
    \208 [11] = 27'h0000000;
    \208 [12] = 27'h0000000;
    \208 [13] = 27'h0000000;
    \208 [14] = 27'h0000000;
    \208 [15] = 27'h0000000;
    \208 [16] = 27'h0000000;
    \208 [17] = 27'h0000000;
    \208 [18] = 27'h0000000;
    \208 [19] = 27'h0000000;
    \208 [20] = 27'h0000000;
    \208 [21] = 27'h0000000;
    \208 [22] = 27'h0000000;
    \208 [23] = 27'h0000000;
    \208 [24] = 27'h0000000;
    \208 [25] = 27'h0000000;
    \208 [26] = 27'h0000000;
    \208 [27] = 27'h0000000;
    \208 [28] = 27'h0000000;
    \208 [29] = 27'h0000000;
    \208 [30] = 27'h0000000;
    \208 [31] = 27'h0000000;
    \208 [32] = 27'h0000000;
    \208 [33] = 27'h0000000;
    \208 [34] = 27'h0000000;
    \208 [35] = 27'h0000000;
    \208 [36] = 27'h0000000;
    \208 [37] = 27'h0000000;
    \208 [38] = 27'h0000000;
    \208 [39] = 27'h0000000;
    \208 [40] = 27'h0000000;
    \208 [41] = 27'h0000000;
    \208 [42] = 27'h0000000;
    \208 [43] = 27'h0000000;
    \208 [44] = 27'h0000000;
    \208 [45] = 27'h0000000;
    \208 [46] = 27'h0000000;
    \208 [47] = 27'h0000000;
    \208 [48] = 27'h0000000;
    \208 [49] = 27'h0000000;
    \208 [50] = 27'h0000000;
    \208 [51] = 27'h0000000;
    \208 [52] = 27'h0000000;
    \208 [53] = 27'h0000000;
    \208 [54] = 27'h0000000;
    \208 [55] = 27'h0000000;
    \208 [56] = 27'h0000000;
    \208 [57] = 27'h0000000;
    \208 [58] = 27'h0000000;
    \208 [59] = 27'h0000000;
    \208 [60] = 27'h0000000;
    \208 [61] = 27'h0000000;
    \208 [62] = 27'h0000000;
    \208 [63] = 27'h0000000;
    \208 [64] = 27'h0000000;
    \208 [65] = 27'h0000000;
    \208 [66] = 27'h0000000;
    \208 [67] = 27'h0000000;
    \208 [68] = 27'h0000000;
    \208 [69] = 27'h0000000;
    \208 [70] = 27'h0000000;
    \208 [71] = 27'h0000000;
    \208 [72] = 27'h0000000;
    \208 [73] = 27'h0000000;
    \208 [74] = 27'h0000000;
    \208 [75] = 27'h0000000;
    \208 [76] = 27'h0000000;
    \208 [77] = 27'h0000000;
    \208 [78] = 27'h0000000;
    \208 [79] = 27'h0000000;
    \208 [80] = 27'h0000000;
    \208 [81] = 27'h0000000;
    \208 [82] = 27'h0000000;
    \208 [83] = 27'h0000000;
    \208 [84] = 27'h0000000;
    \208 [85] = 27'h0000000;
    \208 [86] = 27'h0000000;
    \208 [87] = 27'h0000000;
    \208 [88] = 27'h0000000;
    \208 [89] = 27'h0000000;
    \208 [90] = 27'h0000000;
    \208 [91] = 27'h0000000;
    \208 [92] = 27'h0000000;
    \208 [93] = 27'h0000000;
    \208 [94] = 27'h0000000;
    \208 [95] = 27'h0000000;
    \208 [96] = 27'h0000000;
    \208 [97] = 27'h0000000;
    \208 [98] = 27'h0000000;
    \208 [99] = 27'h0000000;
    \208 [100] = 27'h0000000;
    \208 [101] = 27'h0000000;
    \208 [102] = 27'h0000000;
    \208 [103] = 27'h0000000;
    \208 [104] = 27'h0000000;
    \208 [105] = 27'h0000000;
    \208 [106] = 27'h0000000;
    \208 [107] = 27'h0000000;
    \208 [108] = 27'h0000000;
    \208 [109] = 27'h0000000;
    \208 [110] = 27'h0000000;
    \208 [111] = 27'h0000000;
    \208 [112] = 27'h0000000;
    \208 [113] = 27'h0000000;
    \208 [114] = 27'h0000000;
    \208 [115] = 27'h0000000;
    \208 [116] = 27'h0000000;
    \208 [117] = 27'h0000000;
    \208 [118] = 27'h0000000;
    \208 [119] = 27'h0000000;
    \208 [120] = 27'h0000000;
    \208 [121] = 27'h0000000;
    \208 [122] = 27'h0000000;
    \208 [123] = 27'h0000000;
    \208 [124] = 27'h0000000;
    \208 [125] = 27'h0000000;
    \208 [126] = 27'h0000000;
    \208 [127] = 27'h0000000;
    \208 [128] = 27'h0000000;
    \208 [129] = 27'h0000000;
    \208 [130] = 27'h0000000;
    \208 [131] = 27'h0000000;
    \208 [132] = 27'h0000000;
    \208 [133] = 27'h0000000;
    \208 [134] = 27'h0000000;
    \208 [135] = 27'h0000000;
    \208 [136] = 27'h0000000;
    \208 [137] = 27'h0000000;
    \208 [138] = 27'h0000000;
    \208 [139] = 27'h0000000;
    \208 [140] = 27'h0000000;
    \208 [141] = 27'h0000000;
    \208 [142] = 27'h0000000;
    \208 [143] = 27'h0000000;
    \208 [144] = 27'h0000000;
    \208 [145] = 27'h0000000;
    \208 [146] = 27'h0000000;
    \208 [147] = 27'h0000000;
    \208 [148] = 27'h0000000;
    \208 [149] = 27'h0000000;
    \208 [150] = 27'h0000000;
    \208 [151] = 27'h0000000;
    \208 [152] = 27'h0000000;
    \208 [153] = 27'h0000000;
    \208 [154] = 27'h0000000;
    \208 [155] = 27'h0000000;
    \208 [156] = 27'h0000000;
    \208 [157] = 27'h0000000;
    \208 [158] = 27'h0000000;
    \208 [159] = 27'h0000000;
    \208 [160] = 27'h0000000;
    \208 [161] = 27'h0000000;
    \208 [162] = 27'h0000000;
    \208 [163] = 27'h0000000;
    \208 [164] = 27'h0000000;
    \208 [165] = 27'h0000000;
    \208 [166] = 27'h0000000;
    \208 [167] = 27'h0000000;
    \208 [168] = 27'h0000000;
    \208 [169] = 27'h0000000;
    \208 [170] = 27'h0000000;
    \208 [171] = 27'h0000000;
    \208 [172] = 27'h0000000;
    \208 [173] = 27'h0000000;
    \208 [174] = 27'h0000000;
    \208 [175] = 27'h0000000;
    \208 [176] = 27'h0000000;
    \208 [177] = 27'h0000000;
    \208 [178] = 27'h0000000;
    \208 [179] = 27'h0000000;
    \208 [180] = 27'h0000000;
    \208 [181] = 27'h0000000;
    \208 [182] = 27'h0000000;
    \208 [183] = 27'h0000000;
    \208 [184] = 27'h0000000;
    \208 [185] = 27'h0000000;
    \208 [186] = 27'h0000000;
    \208 [187] = 27'h0000000;
    \208 [188] = 27'h0000000;
    \208 [189] = 27'h0000000;
    \208 [190] = 27'h0000000;
    \208 [191] = 27'h0000000;
    \208 [192] = 27'h0000000;
    \208 [193] = 27'h0000000;
    \208 [194] = 27'h0000000;
    \208 [195] = 27'h0000000;
    \208 [196] = 27'h0000000;
    \208 [197] = 27'h0000000;
    \208 [198] = 27'h0000000;
    \208 [199] = 27'h0000000;
    \208 [200] = 27'h0000000;
    \208 [201] = 27'h0000000;
    \208 [202] = 27'h0000000;
    \208 [203] = 27'h0000000;
    \208 [204] = 27'h0000000;
    \208 [205] = 27'h0000000;
    \208 [206] = 27'h0000000;
    \208 [207] = 27'h0000000;
    \208 [208] = 27'h0000000;
    \208 [209] = 27'h0000000;
    \208 [210] = 27'h0000000;
    \208 [211] = 27'h0000000;
    \208 [212] = 27'h0000000;
    \208 [213] = 27'h0000000;
    \208 [214] = 27'h0000000;
    \208 [215] = 27'h0000000;
    \208 [216] = 27'h0000000;
    \208 [217] = 27'h0000000;
    \208 [218] = 27'h0000000;
    \208 [219] = 27'h0000000;
    \208 [220] = 27'h0000000;
    \208 [221] = 27'h0000000;
    \208 [222] = 27'h0000000;
    \208 [223] = 27'h0000000;
    \208 [224] = 27'h0000000;
    \208 [225] = 27'h0000000;
    \208 [226] = 27'h0000000;
    \208 [227] = 27'h0000000;
    \208 [228] = 27'h0000000;
    \208 [229] = 27'h0000000;
    \208 [230] = 27'h0000000;
    \208 [231] = 27'h0000000;
    \208 [232] = 27'h0000000;
    \208 [233] = 27'h0000000;
    \208 [234] = 27'h0000000;
    \208 [235] = 27'h0000000;
    \208 [236] = 27'h0000000;
    \208 [237] = 27'h0000000;
    \208 [238] = 27'h0000000;
    \208 [239] = 27'h0000000;
    \208 [240] = 27'h0000000;
    \208 [241] = 27'h0000000;
    \208 [242] = 27'h0000000;
    \208 [243] = 27'h0000000;
    \208 [244] = 27'h0000000;
    \208 [245] = 27'h0000000;
    \208 [246] = 27'h0000000;
    \208 [247] = 27'h0000000;
    \208 [248] = 27'h0000000;
    \208 [249] = 27'h0000000;
    \208 [250] = 27'h0000000;
    \208 [251] = 27'h0000000;
    \208 [252] = 27'h0000000;
    \208 [253] = 27'h0000000;
    \208 [254] = 27'h0000000;
    \208 [255] = 27'h0000000;
    \208 [256] = 27'h0000000;
    \208 [257] = 27'h0000000;
    \208 [258] = 27'h0000000;
    \208 [259] = 27'h0000000;
    \208 [260] = 27'h0000000;
    \208 [261] = 27'h0000000;
    \208 [262] = 27'h0000000;
    \208 [263] = 27'h0000000;
    \208 [264] = 27'h0000000;
    \208 [265] = 27'h0000000;
    \208 [266] = 27'h0000000;
    \208 [267] = 27'h0000000;
    \208 [268] = 27'h0000000;
    \208 [269] = 27'h0000000;
    \208 [270] = 27'h0000000;
    \208 [271] = 27'h0000000;
    \208 [272] = 27'h0000000;
    \208 [273] = 27'h0000000;
    \208 [274] = 27'h0000000;
    \208 [275] = 27'h0000000;
    \208 [276] = 27'h0000000;
    \208 [277] = 27'h0000000;
    \208 [278] = 27'h0000000;
    \208 [279] = 27'h0000000;
    \208 [280] = 27'h0000000;
    \208 [281] = 27'h0000000;
    \208 [282] = 27'h0000000;
    \208 [283] = 27'h0000000;
    \208 [284] = 27'h0000000;
    \208 [285] = 27'h0000000;
    \208 [286] = 27'h0000000;
    \208 [287] = 27'h0000000;
    \208 [288] = 27'h0000000;
    \208 [289] = 27'h0000000;
    \208 [290] = 27'h0000000;
    \208 [291] = 27'h0000000;
    \208 [292] = 27'h0000000;
    \208 [293] = 27'h0000000;
    \208 [294] = 27'h0000000;
    \208 [295] = 27'h0000000;
    \208 [296] = 27'h0000000;
    \208 [297] = 27'h0000000;
    \208 [298] = 27'h0000000;
    \208 [299] = 27'h0000000;
    \208 [300] = 27'h0000000;
    \208 [301] = 27'h0000000;
    \208 [302] = 27'h0000000;
    \208 [303] = 27'h0000000;
    \208 [304] = 27'h0000000;
    \208 [305] = 27'h0000000;
    \208 [306] = 27'h0000000;
    \208 [307] = 27'h0000000;
    \208 [308] = 27'h0000000;
    \208 [309] = 27'h0000000;
    \208 [310] = 27'h0000000;
    \208 [311] = 27'h0000000;
    \208 [312] = 27'h0000000;
    \208 [313] = 27'h0000000;
    \208 [314] = 27'h0000000;
    \208 [315] = 27'h0000000;
    \208 [316] = 27'h0000000;
    \208 [317] = 27'h0000000;
    \208 [318] = 27'h0000000;
    \208 [319] = 27'h0000000;
    \208 [320] = 27'h0000000;
    \208 [321] = 27'h0000000;
    \208 [322] = 27'h0000000;
    \208 [323] = 27'h0000000;
    \208 [324] = 27'h0000000;
    \208 [325] = 27'h0000000;
    \208 [326] = 27'h0000000;
    \208 [327] = 27'h0000000;
    \208 [328] = 27'h0000000;
    \208 [329] = 27'h0000000;
    \208 [330] = 27'h0000000;
    \208 [331] = 27'h0000000;
    \208 [332] = 27'h0000000;
    \208 [333] = 27'h0000000;
    \208 [334] = 27'h0000000;
    \208 [335] = 27'h0000000;
    \208 [336] = 27'h0000000;
    \208 [337] = 27'h0000000;
    \208 [338] = 27'h0000000;
    \208 [339] = 27'h0000000;
    \208 [340] = 27'h0000000;
    \208 [341] = 27'h0000000;
    \208 [342] = 27'h0000000;
    \208 [343] = 27'h0000000;
    \208 [344] = 27'h0000000;
    \208 [345] = 27'h0000000;
    \208 [346] = 27'h0000000;
    \208 [347] = 27'h0000000;
    \208 [348] = 27'h0000000;
    \208 [349] = 27'h0000000;
    \208 [350] = 27'h0000000;
    \208 [351] = 27'h0000000;
    \208 [352] = 27'h0000000;
    \208 [353] = 27'h0000000;
    \208 [354] = 27'h0000000;
    \208 [355] = 27'h0000000;
    \208 [356] = 27'h0000000;
    \208 [357] = 27'h0000000;
    \208 [358] = 27'h0000000;
    \208 [359] = 27'h0000000;
    \208 [360] = 27'h0000000;
    \208 [361] = 27'h0000000;
    \208 [362] = 27'h0000000;
    \208 [363] = 27'h0000000;
    \208 [364] = 27'h0000000;
    \208 [365] = 27'h0000000;
    \208 [366] = 27'h0000000;
    \208 [367] = 27'h0000000;
    \208 [368] = 27'h0000000;
    \208 [369] = 27'h0000000;
    \208 [370] = 27'h0000000;
    \208 [371] = 27'h0000000;
    \208 [372] = 27'h0000000;
    \208 [373] = 27'h0000000;
    \208 [374] = 27'h0000000;
    \208 [375] = 27'h0000000;
    \208 [376] = 27'h0000000;
    \208 [377] = 27'h0000000;
    \208 [378] = 27'h0000000;
    \208 [379] = 27'h0000000;
    \208 [380] = 27'h0000000;
    \208 [381] = 27'h0000000;
    \208 [382] = 27'h0000000;
    \208 [383] = 27'h0000000;
    \208 [384] = 27'h0000000;
    \208 [385] = 27'h0000000;
    \208 [386] = 27'h0000000;
    \208 [387] = 27'h0000000;
    \208 [388] = 27'h0000000;
    \208 [389] = 27'h0000000;
    \208 [390] = 27'h0000000;
    \208 [391] = 27'h0000000;
    \208 [392] = 27'h0000000;
    \208 [393] = 27'h0000000;
    \208 [394] = 27'h0000000;
    \208 [395] = 27'h0000000;
    \208 [396] = 27'h0000000;
    \208 [397] = 27'h0000000;
    \208 [398] = 27'h0000000;
    \208 [399] = 27'h0000000;
    \208 [400] = 27'h0000000;
    \208 [401] = 27'h0000000;
    \208 [402] = 27'h0000000;
    \208 [403] = 27'h0000000;
    \208 [404] = 27'h0000000;
    \208 [405] = 27'h0000000;
    \208 [406] = 27'h0000000;
    \208 [407] = 27'h0000000;
    \208 [408] = 27'h0000000;
    \208 [409] = 27'h0000000;
    \208 [410] = 27'h0000000;
    \208 [411] = 27'h0000000;
    \208 [412] = 27'h0000000;
    \208 [413] = 27'h0000000;
    \208 [414] = 27'h0000000;
    \208 [415] = 27'h0000000;
    \208 [416] = 27'h0000000;
    \208 [417] = 27'h0000000;
    \208 [418] = 27'h0000000;
    \208 [419] = 27'h0000000;
    \208 [420] = 27'h0000000;
    \208 [421] = 27'h0000000;
    \208 [422] = 27'h0000000;
    \208 [423] = 27'h0000000;
    \208 [424] = 27'h0000000;
    \208 [425] = 27'h0000000;
    \208 [426] = 27'h0000000;
    \208 [427] = 27'h0000000;
    \208 [428] = 27'h0000000;
    \208 [429] = 27'h0000000;
    \208 [430] = 27'h0000000;
    \208 [431] = 27'h0000000;
    \208 [432] = 27'h0000000;
    \208 [433] = 27'h0000000;
    \208 [434] = 27'h0000000;
    \208 [435] = 27'h0000000;
    \208 [436] = 27'h0000000;
    \208 [437] = 27'h0000000;
    \208 [438] = 27'h0000000;
    \208 [439] = 27'h0000000;
    \208 [440] = 27'h0000000;
    \208 [441] = 27'h0000000;
    \208 [442] = 27'h0000000;
    \208 [443] = 27'h0000000;
    \208 [444] = 27'h0000000;
    \208 [445] = 27'h0000000;
    \208 [446] = 27'h0000000;
    \208 [447] = 27'h0000000;
    \208 [448] = 27'h0000000;
    \208 [449] = 27'h0000000;
    \208 [450] = 27'h0000000;
    \208 [451] = 27'h0000000;
    \208 [452] = 27'h0000000;
    \208 [453] = 27'h0000000;
    \208 [454] = 27'h0000000;
    \208 [455] = 27'h0000000;
    \208 [456] = 27'h0000000;
    \208 [457] = 27'h0000000;
    \208 [458] = 27'h0000000;
    \208 [459] = 27'h0000000;
    \208 [460] = 27'h0000000;
    \208 [461] = 27'h0000000;
    \208 [462] = 27'h0000000;
    \208 [463] = 27'h0000000;
    \208 [464] = 27'h0000000;
    \208 [465] = 27'h0000000;
    \208 [466] = 27'h0000000;
    \208 [467] = 27'h0000000;
    \208 [468] = 27'h0000000;
    \208 [469] = 27'h0000000;
    \208 [470] = 27'h0000000;
    \208 [471] = 27'h0000000;
    \208 [472] = 27'h0000000;
    \208 [473] = 27'h0000000;
    \208 [474] = 27'h0000000;
    \208 [475] = 27'h0000000;
    \208 [476] = 27'h0000000;
    \208 [477] = 27'h0000000;
    \208 [478] = 27'h0000000;
    \208 [479] = 27'h0000000;
    \208 [480] = 27'h0000000;
    \208 [481] = 27'h0000000;
    \208 [482] = 27'h0000000;
    \208 [483] = 27'h0000000;
    \208 [484] = 27'h0000000;
    \208 [485] = 27'h0000000;
    \208 [486] = 27'h0000000;
    \208 [487] = 27'h0000000;
    \208 [488] = 27'h0000000;
    \208 [489] = 27'h0000000;
    \208 [490] = 27'h0000000;
    \208 [491] = 27'h0000000;
    \208 [492] = 27'h0000000;
    \208 [493] = 27'h0000000;
    \208 [494] = 27'h0000000;
    \208 [495] = 27'h0000000;
    \208 [496] = 27'h0000000;
    \208 [497] = 27'h0000000;
    \208 [498] = 27'h0000000;
    \208 [499] = 27'h0000000;
    \208 [500] = 27'h0000000;
    \208 [501] = 27'h0000000;
    \208 [502] = 27'h0000000;
    \208 [503] = 27'h0000000;
    \208 [504] = 27'h0000000;
    \208 [505] = 27'h0000000;
    \208 [506] = 27'h0000000;
    \208 [507] = 27'h0000000;
    \208 [508] = 27'h0000000;
    \208 [509] = 27'h0000000;
    \208 [510] = 27'h0000000;
    \208 [511] = 27'h0000000;
  end
  always @(posedge clk) begin
    \208 [_0_] <= 27'h0000000;
  end
  assign _4_ = \208 [_5_];
  assign out_blank = 1'hz;
  assign out_hsync = 1'hz;
  assign out_vsync = 1'hz;
  assign out_red = 8'hzz;
  assign out_green = _1_;
  assign out_blue = _2_;
endmodule

module pixel_processing(clk, in_blank, in_hsync, in_vsync, in_red, in_green, in_blue, is_interlaced, is_second_field, audio_channel, audio_de, audio_sample, switches, out_blank, out_hsync, out_vsync, out_red, out_green, out_blue);
  input [2:0] audio_channel;
  input audio_de;
  input [23:0] audio_sample;
  input clk;
  input in_blank;
  input [7:0] in_blue;
  input [7:0] in_green;
  input in_hsync;
  input [7:0] in_red;
  input in_vsync;
  input is_interlaced;
  input is_second_field;
  output out_blank;
  output [7:0] out_blue;
  output [7:0] out_green;
  output out_hsync;
  output [7:0] out_red;
  output out_vsync;
  input [7:0] switches;
  assign out_blank = 1'hz;
  assign out_hsync = 1'hz;
  assign out_vsync = 1'hz;
  assign out_red = 8'hzz;
  assign out_green = 8'hzz;
  assign out_blue = 8'hzz;
endmodule