aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1283/issue3.vhdl
blob: 809fcd49c75d838c00db54b30428807b5dc28098 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity issue3 is
end issue3;

architecture beh of issue3 is
    type t_rec is
        record
            elem : std_logic_vector (3 downto 0);
        end record;
begin
    assert t_rec'(elem => 4b"0") = t_rec'(elem => 3b"0");
end architecture beh;