aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1283/issue1.vhdl
blob: ee001e5379232bae6dbaa779d4244b2f39253cf6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity issue1 is
end issue1;

architecture beh of issue1 is
    type t_rec is
        record
            elem : std_logic_vector;
        end record;
begin
    assert t_rec'(elem => "000") = t_rec'(elem => "000");
    assert t_rec'(elem => "001") = t_rec'(elem => "000") severity note;
end architecture beh;