aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1273/issue.vhdl
blob: b737e20a6998666054903947a6353e3784bef919 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port (foo : in  std_logic);
end entity issue;

architecture beh of issue is
    procedure check (arg : in std_logic) is
    begin
        assert (arg xor '1') = (arg and '0');
    end procedure;
begin
    check (foo);
    --assert (foo xor '1') = (foo and '0');
end architecture;