aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1272/issue.vhdl
blob: 3a232d0c938041093ea2c2d3f916af74e0f265fe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port (clk : std_logic);
end entity issue;

architecture beh of issue is
begin
    --psl default clock is rising_edge (clk);
    --psl assert (always (true or true));
end architecture;