aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1271/issue2.vhdl
blob: 1dd359c6c248dd06980e6d7fae1354636d096713 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port
      (i_foo : in  std_logic;
       o_foo : out std_logic;
       clock : in  std_logic);
end entity issue;

architecture beh of issue is
begin
    process (clock)
        variable v_foo : std_logic := i_foo;
    begin
        -- works without the if
        if rising_edge (clock) then
            v_foo := v_foo xor v_foo;
            o_foo <= v_foo;
        end if;
    end process;
end architecture;