aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1271/issue.vhdl
blob: ee222b0b5caecc95dfaa2064266937cacf40c969 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port
      (i_foo : in  std_logic;
       o_foo : out std_logic);
end entity issue;

architecture beh of issue is
    constant k_foo  : std_logic := i_foo;
begin
    o_foo <= k_foo xor '0';
end architecture;