aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1266/issue.vhdl
blob: 7d16bc2dbbc6c4ecc9be16ada3630e5e4c801e97 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    generic (constant N : integer := 8);
    port (foo : in  std_logic;
          bar : out std_logic_vector(8-1 downto 0));
end issue;

architecture beh of issue is
begin
    bar <= (bar'high=>foo, others=>'0');
end architecture;