aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1265/issue.vhdl
blob: 098305fa4b97634a4672633b158584339d01e74d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
    port (sub_uns : out unsigned (8-1 downto 0);
          sub_sgn : out   signed (8-1 downto 0));
end issue;

architecture beh of issue is
begin
    sub_uns <= unsigned'(b"0000_0000") - 1; -- works
    sub_sgn <=   signed'(b"0000_0000") - 1; -- fails
end architecture beh;