aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1264/repro.vhdl
blob: ad67b84a95015d804aa6d5f14e7ef5e697b857bf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro is
    port (r : out std_logic);
end repro;

architecture beh of repro is
begin
  r <= '1';

  assert (unsigned'(b"1001_0001") srl 1) = b"0100_1000";
  assert (unsigned'(b"1001_0001") sll 1) = b"0010_0010";
  assert (signed'(b"1001_0001") srl 1) = b"0100_1000";
  assert (signed'(b"1001_0001") sll 1) = b"0010_0010";

  --  assert false report to_bstring(signed'(b"1001_0001") srl 1);
end architecture beh;