blob: a0785240f0e00ca7e569809e6a5e1a3c76dbe585 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
|
library ieee;
use ieee.std_logic_1164.all;
entity simple01 is
port (a : in std_logic;
z : out std_logic);
end simple01;
--use work.pkg.all;
architecture behav of simple01 is
begin
process(A)
begin
Z <= a;
end process;
end behav;
|