aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1250/tb_theunit.vhdl
blob: d3defd62143fd15582a7b529d0c82a8f2983caf3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_theunit is
end tb_theunit;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_theunit is
  signal d : std_logic;
begin
  dut: entity work.theunit
    port map (d);

  process
  begin
    wait for 1 ns;
    assert d = '1' severity failure;
    
    wait;
  end process;
end behav;