aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1240/issue1.vhdl
blob: cc5bf9f468377471ba2c1bf99bb727825c5bd38e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue1 is
    port (foobar : out signed (3 downto 0));
end issue1;

architecture beh of issue1 is
begin
    foobar <= to_signed(natural'(-1), foobar'length);
end architecture;