blob: 2432be31fcd546d8283f306928d8f69d4c394190 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
|
library ieee;
use ieee.std_logic_1164.all;
entity tri is
port (i, en : std_logic;
o : out std_logic);
end tri;
architecture behav of tri is
begin
o <= i when en = '1' else 'Z';
end behav;
|