aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1218/top.vhdl
blob: b9169a28f551cce326b176bb4ea2c4f801e1e261 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity top is
port (
	ch : in integer range 0 to 7;
	din : in unsigned(7 downto 0);
	dout : out unsigned(7 downto 0)
);
end entity;

architecture arch of top is
begin
	dout <= din srl (ch + 1);
end architecture;