aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1210/bug.vhdl
blob: 2bd385609c1ff180b634721b2d247d31880349c0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.math_real.all;

entity bug is
  port (
    dummy   : in std_ulogic
  );
end entity;

architecture rtl of bug is
	constant a : real := floor(15.0/4.0);
	constant b : real := ceil(15.0/4.0);
begin
end architecture;