aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1186/ent.vhdl
blob: 56a74d7d7c4e75a7d1edb710295247f510284a89 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end;

architecture a of ent is
    component c is
        generic (
            G_REAL : real
        );
    end component;
begin
    c_inst: c generic map (G_REAL => 1.5);
end;