aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1181/bug.vhdl
blob: 39a943d0819d04163086327540c5ed74ff6d39d4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
	port(
		dummy       : in std_ulogic
	);
end bug;

architecture behav of bug is
begin
	process(all)
		variable index : integer;
	begin
		index := 10;
		if index > 3 then
		--	index := index-1;
		end if;
	end process;

end architecture;