aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1177/issue1.vhdl
blob: 5d18a0f57673efbabfd756c78b153bd1776ffd4e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity issue1 is
    port (foo : in  std_logic;
          bar : out boolean);
end issue1;

architecture behav of issue1 is
begin

    bar <= (?? foo);

end architecture;