aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1176/issue.vhdl
blob: d732dca4981d98e022172381f62d5c4684446154 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
    port (clock : in  std_logic;
            bar : out signed(8-1 downto 0));
end issue;

architecture rtl of issue is
begin

    process (clock)
        variable foo : signed(8-1 downto 0);
    begin
        if rising_edge(clock) then
            foo := (others=>'0');
            foo := foo + 1;
        end if;

        bar <= foo;
    end process;

end architecture;