aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1170/bug.vhdl
blob: d68ad2bebce4afd2255777ad5aacfd256fbab613 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
	port(
		dummy : in std_ulogic
	);
end bug;

architecture behav of bug is
	constant LEN : positive := 2;
begin
	outer : block
	begin
		gen : for i in 0 to LEN-1 generate
			--empty
		end generate;
	end block;
end architecture;