aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1168/bug.vhdl
blob: 2d05466199d20bbff7ebe6db1f5554edd8d93b33 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
	generic(
		LEN : positive := 32
	);
	port(
		input_a :  in unsigned(LEN-1 downto 0);
		input_b :  in unsigned(LEN-1 downto 0);
		output  : out unsigned(LEN-1 downto 0)
	);
end bug;

architecture behav of bug is
begin
	output <= minimum(input_a, input_b);
end architecture;