aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1167/bug.vhdl
blob: 76ef78a0164f6de2510314f3c79de5ea6b2edb3a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
	generic(
		LEN : positive := 32
	);
	port(
		input  :  in unsigned(LEN-1 downto 0);
		output : out unsigned(LEN-1 downto 0)
	);
end bug;

architecture behav of bug is
begin
	output <= input and not to_unsigned(4096-1, input'length);
end architecture;