aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1164/bug.vhdl
blob: a0b9ac96925768e80e2dca71a010e26d5833497a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
	port(
		clk                     :  in std_ulogic;
		reset_n                 :  in std_ulogic
	);
end bug;

architecture behav of bug is
	component comp is
	port (		
		data : in std_ulogic_vector
	);
	end component;
	
	type fifo_rdata_t is array (0 to 0) of std_ulogic_vector(4*8-1 downto 0);
	signal fifo_rdata : fifo_rdata_t;
begin
	c : comp
	port map(
		data => fifo_rdata(0)
	);
end architecture;