aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/tb_issue3.vhdl
blob: c37a41e3b15a85c6305920c8423ffea935a616a7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity tb_issue3 is
end tb_issue3;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_issue3 is
  signal a : std_logic_vector (3 downto 0);
begin
  dut: entity work.issue3
    port map (a);

  process
  begin
    assert a = "0110" severity failure;
    wait;
  end process;
end behav;