aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/tb_issue1.vhdl
blob: c8f601fcce37ab3a6af1c5adc66cd863eda6964a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_issue1 is
end tb_issue1;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_issue1 is
  signal a : std_logic_vector (3 downto 0);
begin
  dut: entity work.issue1
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a = "0111" severity failure;
    wait;
  end process;
end behav;