aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/issue3.vhdl
blob: d993d105506592c83a7a0cdc0b777253244e7d8a (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity issue3 is
   port (foo : out std_logic_vector(4-1 downto 0));
end issue3;

architecture rtl of issue3 is
begin
    foo <= ("01", "10");
end architecture;