aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1160/issue.vhdl
blob: 36f2890ba07962b9a06ad0e206834b7dd3242aac (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
    generic (N     : natural := 3);
       port (data  : out signed(N-1 downto 0));
end issue;

architecture rtl of issue is
    subtype my_type is signed(N-1 downto 0);
begin
    data <= to_signed(1,my_type'length);
end architecture;