aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1158/max.vhdl
blob: 32b3b508a6bf144585a2350401f8bac34f6700ec (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity max is
	generic(
		A : positive :=  5;
		B : positive :=  7
	);
	port(
		dummy : std_logic
	);
end max;

architecture test of max is
	constant cst : positive := maximum(A,B);
begin
  assert cst = 7 severity error;
end architecture;