aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1117/tb_ent.vhdl
blob: bed2308ccd6989ab36e9b1a55cba6ed1397b9510 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity tb_ent is
end;

architecture behav of tb_ent is
  signal r : unsigned (31 downto 0);
begin
  dut: entity work.ent
    generic map (g => x"ffff_0001")
    port map (res => r);

  process
  begin
    wait for 1 ns;
    assert r = x"ffff0001" severity failure;
    wait;
  end process;
end behav;