aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1117/ent.vhdl
blob: 135d2d31cbe3d3faaac58169de51bd4d7d3ea8f0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;

entity ent is
	generic (
		G : unsigned(31 downto 0)
	);
        port (
          res : out unsigned (31 downto 0));
end;

architecture a of ent is
begin
  res <= g;
end;